Please use this identifier to cite or link to this item: http://hdl.handle.net/10397/715
PIRA download icon_1.1View/Download Full Text
Title: Bifurcation behavior of SPICE simulations of switching converters : a systematic analysis of erroneous results
Authors: Wu, X
Wong, SC 
Tse, CKM 
Lu, J
Issue Date: Sep-2007
Source: IEEE transactions on power electronics, Sept. 2007, v. 22, no. 5, p. 1743-1752
Abstract: The SPICE simulation program is widely used as a brute force simulator for analyzing and designing switching power converters. Results from SPICE are mostly useful, but their integrity is sometimes questionable as erroneous results could be obtained which may not reflect the true behavior of the circuits being simulated. Various parameters in SPICE are crucial in controlling the convergence and accuracy of the simulated results, e.g., relative error tolerance and maximum integration step size. In this paper, we study the system consisting of the SPICE simulation algorithm and the circuit being simulated. Specifically, we describe the generation of flawed solutions in terms of bifurcation of the system under parameter variations. Erroneous results have been collected for different relative error tolerances, maximum integration step sizes, and parasitic inductance and capacitance. These flawed solutions can be analyzed in terms of the manifestation of period-doubling bifurcation and chaotic behavior under variation of selected simulation parameters. This paper provides a systematic approach to rationalizing the behavior of the SPICE simulator, its practical significance being in the identification of the ranges of simulation parameters for which flawed solutions can be produced.
Keywords: Bifurcation
Circuit simulation
Power electronics
SPICE
Switching converters
Publisher: Institute of Electrical and Electronics Engineers
Journal: IEEE transactions on power electronics 
ISSN: 0885-8993
EISSN: 1941-0107
DOI: 10.1109/TPEL.2007.904207
Rights: © 2007 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.
This material is presented to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders. All persons copying this information are expected to adhere to the terms and constraints invoked by each author's copyright. In most cases, these works may not be reposted without the explicit permission of the copyright holders.
Appears in Collections:Journal/Magazine Article

Files in This Item:
File Description SizeFormat 
spice-simulations_07.pdf1.66 MBAdobe PDFView/Open
Open Access Information
Status open access
File Version Version of Record
Access
View full-text via PolyU eLinks SFX Query
Show full item record

Page views

124
Last Week
1
Last month
Citations as of Apr 14, 2024

Downloads

219
Citations as of Apr 14, 2024

SCOPUSTM   
Citations

8
Last Week
0
Last month
0
Citations as of Apr 19, 2024

WEB OF SCIENCETM
Citations

5
Last Week
0
Last month
0
Citations as of Apr 18, 2024

Google ScholarTM

Check

Altmetric


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.